Index of /depots/ArchlinuxARM/yosys/


../
obsolete/                                          11-Feb-2024 23:13       -
icestorm-git-r820.d20a5e9-1-aarch64.pkg.tar.zst    02-Nov-2023 22:00     17M
nextpnr-git-0.7.r8.gcc273c12-1-aarch64.pkg.tar.zst 11-Feb-2024 18:32     78M
prjapicula-0.11.1-1-aarch64.pkg.tar.zst            11-Feb-2024 23:09      8M
prjoxide-git-r446.36a2798-1-aarch64.pkg.tar.zst    02-Nov-2023 21:51      2M
prjtrellis-db-git-r329.4dda149-1-any.pkg.tar.zst   02-Nov-2023 21:39      1M