Index of /depots/ArchlinuxARM/yosys/obsolete/


../
nextpnr-git-0.6.r127.gd6f54fd9-1-aarch64.pkg.ta..> 03-Nov-2023 21:53     78M
nextpnr-gowin-git-r4021.4666ea70-1-armv7h.pkg.t..> 21-Feb-2022 23:49    959K
nextpnr-gowin-git.PKGBUILD                         20-Feb-2022 16:39    1319
prjapicula-0.4-1-armv7h.pkg.tar.xz                 20-Jun-2022 09:05    778K
prjapicula-0.9.1-2-aarch64.pkg.tar.zst             02-Nov-2023 21:45      8M