Index of /cubieboard/ArchlinuxARM/yosys/obsolete/


../
nextpnr-git-0.6.r127.gd6f54fd9-1-aarch64.pkg.ta..> 03-Nov-2023 19:53            82078288
nextpnr-gowin-git-r4021.4666ea70-1-armv7h.pkg.t..> 21-Feb-2022 21:49              981976
nextpnr-gowin-git.PKGBUILD                         20-Feb-2022 14:39                1319
prjapicula-0.4-1-armv7h.pkg.tar.xz                 20-Jun-2022 07:05              797020
prjapicula-0.9.1-2-aarch64.pkg.tar.zst             02-Nov-2023 19:45             8423713